Menu Close

改进EUV光刻 美芯片公司新工具甩中共几千里

美中芯片战打得热火朝天,中共在美国制裁下大力发展本土芯片制造能力。美国应用材料公司公布的新工具表明,中共要想赶上领先的半导体生产技术真的很困难。

上个月底,应用材料公司(Applied Materials)公布了一项突破性的图案化(patterning)技术,称为Centura Sculpta系统,核心是“pattern shaping”的新工艺步骤,可协助芯片制造商以更少的EUV光刻步骤生产高性能的晶体管和内连布线(interconnect wiring),从而降低先进芯片制程的成本、复杂性和环境影响性。

常见的多重图案化方案如“光刻-蚀刻-光刻-蚀刻(LELE:litho-etch-litho-etch)”,其工艺流程要经过两次完整的光刻周期,需要几十个不同的工艺步骤。成本不断增加。

Sculpta提供了一个替代方案。Sculpta是一种复杂的蚀刻工具,使用一束等离子体来修改硅片上的芯片特征尺寸,减少了反复蚀刻的步骤。

“Sculpta是为高级逻辑节点中最关键的图案层而设计的”,应用材料公司表示,“由于最终图案是由一个掩模创建的,因此设计成本和复杂性降低了,而且消除了双重图案化对准失误带来的产量风险。”

应用材料公司公司称,Sculpta能节约大量的成本:

每月10万片初制晶圆(wafer starts)的产能将节省约2.5亿美元的成本;

每片晶圆可节省约50美元的制造成本;

每片晶圆可节约能源超过15kwh;

每片晶圆可直接减少约相当于0.35kg以上二氧化碳的温室气体排放;

每片晶圆可节省约15L的水等。

现在,EUV(极紫外光刻)光刻工具的成本大约为1.7亿美元,而荷兰芯片制造商阿斯麦(ASML)的下一代高NA(数值孔径)工具的成本可能会翻一番。高NA将把分辨率限制降低到8纳米,减少对多重图案化的需求,但不是立即就能做到,第一批机器计划在2024年之前交付。

光刻技术估计占3纳米生产成本的35%。ASML公司目前垄断了EUV光刻技术。

应用材料公司称,Sculpta新工具可以将一些关键层的EUV光刻技术使用量减少一半,而半导体行业分析师估计,它可以将EUV光刻工具的总单位需求减少近20%。

估计是粗略的,因为目前还不知道Sculpta可能被采用的速度有多快,以及节省的大量成本会对半导体制造的产能扩张产生什么影响。

随着新工具的推出,半导体行业将受到效率提升和成本降低的推动,其可以帮助英特尔追赶台积电和三星,从而加速EUV光刻市场的增长。

Sculpta新工具可能会推动日本半导体材料制造商JSR在收购美国光刻胶厂商Inpria后实现更大的目标和回报,Inpria的目标是1纳米工艺。

在为智能手机、人工智能、量子计算和其它高科技产业开发下一代芯片的竞赛中,中共可能会被甩得更远。美国的制裁已经禁止向中共出口EUV光刻工具。没有这些工具,7纳米和5纳米工艺经济成本太高,3纳米、2纳米及更小的工艺根本无法实施。

为电子行业提供媒体、营销和专业服务的全球领先公司TechInsights的半导体行业趋势分析师哈奇森称赞说:“Sculpta是自化学机械平面化(CMP)推出以来晶圆制造领域最具创新性的新工艺步骤。”

您喜欢该新闻吗?
本文内容除特别注明外均取自各新闻媒体,并不代表本网立场!
Posted in 科技新闻

发表回复

您的电子邮箱地址不会被公开。 必填项已用*标注

Leave the field below empty!